CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - VHDL d

搜索资源列表

  1. VHDL中有关进程及时间周期问题及解答

    2下载:
  2. 1. Analyze and simulate the following code lists (code1 and code 2) with the same input signals shown below by presenting POW and OL. If the data type of “a, b, c, d, u, v, w, x, y, z” is declared as std_logic, what changes the simulation outputs wil
  3. 所属分类:编程文档

  1. 06626_DLL

    0下载:
  2. XILINX的DLL的使用介绍,对于时钟的应用有很大的帮助-XILINX the use of the DLL, the application for the clock will be very helpful
  3. 所属分类:Project Manage

    • 发布日期:2017-11-28
    • 文件大小:1009457
    • 提供者:fei0318
  1. TLC549

    0下载:
  2. TLC548和TLC549是以8位开关电容逐次逼近A/D转换器为基础而构造的CMOS A/D转换器。它们设 计成能通过3态数据输出和模拟输入与微处理器或外围设备串行接口。TLC548和TLC549仅用输入/输出时 钟(I/O CLOCK) 和芯片选择(CS) 输入作数据控制。TLC548的最高I/O CLOCK输入频率为2.048MHz, 而TLC549的I/O CLOCK输入频率最高可达1.1MHz。 有关与大多数通用微处理器接口的详细资料已由工厂 准备好,可供使用。-TLC5
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:711464
    • 提供者:ysy593
  1. AD_TLC5510

    0下载:
  2. 用VHDL控制TLC5510从而实现对高速A/D器件TLC5510控制,进而处理-use fpga to control the tlc5510
  3. 所属分类:File Formats

    • 发布日期:2017-04-06
    • 文件大小:683
    • 提供者:kevin
  1. dso

    0下载:
  2. 使用VHDL语言编写的简易数字存储示波器,用MAX+PlusII仿真验证。VHDL编写了采样、存储写、存储读和显示4个模块。采样使用ADC0809,存储器使用6264,显示使用DAC0832。-The design of the chip as a high-speed signal ADC0809 the A/D converter, SRAM6264 memory for data storage after sampling, DAC0832 chip as a signal of D/A
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:502285
    • 提供者:兰江营
  1. fpgada0832

    0下载:
  2. 该波形发生器以单片机(MCS8031)为中心控制单元,由键盘输入模块、数码管显示模块、D/A波形发生模块dac0832、幅值调整模块组成。采用DDFS技术,先将要求的波形数据存储于EEPROM中,这样可以保证掉电以后波形数据不丢失。-The waveform generator to single-chip microcomputer (MCS8031) as the central control unit, by the keyboard input module, digital tube
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:171926
    • 提供者:litong
  1. NewFolder2

    0下载:
  2. Verilog and VHDL programs for sipo buffer,d flip flop etc
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:3134
    • 提供者:Mallikarjun
  1. TLC5510

    0下载:
  2. 基于VHDL语言,实现对高速A/D 器件TLC5510 控制-Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:620
    • 提供者:Aaron Chen
  1. d

    0下载:
  2. IP核应用,详细的介绍了关于FPGA中IP核的应用-IP core application, a detailed presentation on the application of FPGA in the IP core ,,,,,,
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:705543
    • 提供者:洪依
  1. Digital.Logic.And.Microprocessor.Design.With.VHDL

    0下载:
  2. sgtznhnzdmzx d thnxgxh
  3. 所属分类:Document

    • 发布日期:2017-05-17
    • 文件大小:4816312
    • 提供者:gani
  1. FPGA

    0下载:
  2. 关于FPGA的A/D(ADS7844)转换器电路设计-On the FPGA of the A/D converter circuit design
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:260857
    • 提供者:keya
  1. chuzuche

    0下载:
  2. 一款基于VHDL的EDA计程车计费系统的设计.熟悉Quartus2操作环境-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL ENTITY liuxuanyi IS PORT(C:IN STD_LOGIC_VECTOR(2 DOWNTO 0) DP: OUT STD_LOGIC A1,A2,A3,B1,B2,B3:IN STD_LOGI
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:72402
    • 提供者:邱壮雄
  1. vhdl

    0下载:
  2. library ieee use ieee.std_logic_1164.all entity decoder is port (clk:in std_logic clr:in std_logic data_in:in std_logic --待解码信元输入端; data_out:out std_logic) --解码信元输出端; end decoder architecture behave of decoder is component dff2
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:378333
    • 提供者:刘轩赫
  1. VHDL_trigger

    0下载:
  2. 本实验是VHDL的触发器实现,将基本RS触发器,同步RS触发器,集成J-K触发器,D触发器同时集成在一个CPLD芯片中模拟其功能,并研究其相互转化的方法。-This experiment is the trigger of VHDL realize, will be basically RS flip-flop, synchronous RS flip-flop, the integrated JK flip-flop, D flip-flops simultaneously integrate
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:728162
    • 提供者:陈芳
  1. danweitaichufaqi

    0下载:
  2. 用VHDL实现了单稳态触发器的计数器功能,配合单稳态触发器中的D触发器可以实现单稳态触发器功能。-Using VHDL realize counter function of monostable trigger, D trigger monostable trigger monostable trigger function can be achieved.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:1058
    • 提供者:maobu
  1. pwm

    0下载:
  2. the code describe the Pulse Width Modulation (pwm) or la modulation de largeur d impulsions in french with the language vhdl
  3. 所属分类:Software Testing

    • 发布日期:2017-03-30
    • 文件大小:1074
    • 提供者:amani
  1. texio-user-method

    0下载:
  2. T E X T I O 在V H D L 仿真与磁盘文件之间架起了桥梁,使用文本文件扩展V H D L 的仿真功能。本文介绍 TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用ModelSim 对设计进行仿真, 并分析仿真结果。-TEXTIO between VHDL simulation and bridges the gap between the disk file, use a text file extension of VHDL simulation
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:170880
    • 提供者:fang
  1. code

    0下载:
  2. Basic codes in VHDL like d flipflop,register -Basic codes in VHDL like d flipflop,register ............
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1568840
    • 提供者:Uppu
  1. Compteur_VHDL

    0下载:
  2. VHDL code of a counter Code VHDL d un compteur
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:572
    • 提供者:Ibel
搜珍网 www.dssz.com